Open Access Open Access  Restricted Access Subscription Access
Open Access Open Access Open Access  Restricted Access Restricted Access Subscription Access

Enhanced SRAM Design through Recovery Boosting


Affiliations
1 SNS College of Technology, Coimbatore, India
2 Electronics and Communication Engineering Department, SNS College of Technology, Coimbatore, India
     

   Subscribe/Renew Journal


SRAM based structures are there within microprocessor architecture. Important lifetime reliability problem in microprocessors is Negative Bias Temperature Instability (NBTI). As one of the pMOS device in the memory cell always has an input "0‟,SRAM arrays are susceptible to NBTI. An existing recovery technique for SRAM cell aims to balance the degradation of two pMOS device by attempting to keep their inputs at logic "0‟, exactly 50% of the time. But the problem with this technique is that, one of the devices is always in the negative bias condition at any given time.

So we propose a new technique called Recovery Boosting. By slightly modifying the conventional SRAM cell, we put both pMOS device in the memory cell to the recovery mode. Recovery Boosting provides reduction in NBTI and significant improvement in the static noise margin of the issue queue with reduction in power consumption. The tool used for simulation is MICROWIND and digital schematic editor and simulator.


Keywords

Negative Bias Temperature Instability (NBTI), Static Random Access Memory (SRAM).
User
Subscription Login to verify subscription
Notifications
Font Size

Abstract Views: 198

PDF Views: 3




  • Enhanced SRAM Design through Recovery Boosting

Abstract Views: 198  |  PDF Views: 3

Authors

Teena Abraham
SNS College of Technology, Coimbatore, India
A. Gokulalakshmi
Electronics and Communication Engineering Department, SNS College of Technology, Coimbatore, India

Abstract


SRAM based structures are there within microprocessor architecture. Important lifetime reliability problem in microprocessors is Negative Bias Temperature Instability (NBTI). As one of the pMOS device in the memory cell always has an input "0‟,SRAM arrays are susceptible to NBTI. An existing recovery technique for SRAM cell aims to balance the degradation of two pMOS device by attempting to keep their inputs at logic "0‟, exactly 50% of the time. But the problem with this technique is that, one of the devices is always in the negative bias condition at any given time.

So we propose a new technique called Recovery Boosting. By slightly modifying the conventional SRAM cell, we put both pMOS device in the memory cell to the recovery mode. Recovery Boosting provides reduction in NBTI and significant improvement in the static noise margin of the issue queue with reduction in power consumption. The tool used for simulation is MICROWIND and digital schematic editor and simulator.


Keywords


Negative Bias Temperature Instability (NBTI), Static Random Access Memory (SRAM).



DOI: https://doi.org/10.36039/ciitaas%2F4%2F4%2F2012%2F106889.136-140