Open Access Open Access  Restricted Access Subscription Access
Open Access Open Access Open Access  Restricted Access Restricted Access Subscription Access

Low Power, Area Efficient Multiply-Accumulate and its Application to a DTMAC Unit


Affiliations
1 Ramakrishna Engineering College, Tamilnadu, India
2 Anna University, Tamilnadu, India
     

   Subscribe/Renew Journal


we propose a low power and area efficient two-cycle multiply-accumulate (2C-MAC) architecture which supports 2’s complement numbers, and includes accumulation guard bits and saturation circuitry. The first MAC pipeline stage contains only partial-product circuitry which is for generating partial product. And the second stage consists of, sign-extension block, saturation unit and all other functionality. Proposed architecture does not need any additional cycles to generate the final result. It efficiently produces the addition of the accumulated value and the product in each cycle. And extend the new architecture to create a double throughput MAC, which can perform either multiply or multiply-accumulate operations.

Keywords

Arithmetic Circuits, Energy Efficient, High Speed, Multiply-Accumulate Unit.
User
Subscription Login to verify subscription
Notifications
Font Size

Abstract Views: 172

PDF Views: 3




  • Low Power, Area Efficient Multiply-Accumulate and its Application to a DTMAC Unit

Abstract Views: 172  |  PDF Views: 3

Authors

V. Vimal Raj
Ramakrishna Engineering College, Tamilnadu, India
C. S. Manikandababu
Anna University, Tamilnadu, India

Abstract


we propose a low power and area efficient two-cycle multiply-accumulate (2C-MAC) architecture which supports 2’s complement numbers, and includes accumulation guard bits and saturation circuitry. The first MAC pipeline stage contains only partial-product circuitry which is for generating partial product. And the second stage consists of, sign-extension block, saturation unit and all other functionality. Proposed architecture does not need any additional cycles to generate the final result. It efficiently produces the addition of the accumulated value and the product in each cycle. And extend the new architecture to create a double throughput MAC, which can perform either multiply or multiply-accumulate operations.

Keywords


Arithmetic Circuits, Energy Efficient, High Speed, Multiply-Accumulate Unit.