Open Access Open Access  Restricted Access Subscription Access
Open Access Open Access Open Access  Restricted Access Restricted Access Subscription Access

Performance Comparison Of Knn And Linear Regression Based Machine Learning Approaches In The Design Of An Em-Ageing Aware Scheduler For Improving The Lifetime Of Multi-Core Processors


Affiliations
1 Department of Electronics Engineering, Government Model Engineering College, India
     

   Subscribe/Renew Journal


The increasing computing requirements in modern embedded systems demand high performance processing cores with highly down-scaled devices. System reliability due to ageing is a major concern in such processing cores. Run-time adaptations with ageing-aware schedulers are getting more attention to increase the lifetime reliability of the processing cores. Accurate and efficient thermal estimation of processing cores based on the characteristics of workloads is important to implement run-time adaptation schemes. In this work we have developed K-Nearest Neighbor (KNN) and Linear Regression (LR) machine learning models for the estimation of thermal profiles of the major power consuming logical units of a multi-core processor. Prediction performance of KNN and LR machine learning models in the design of an Electron Migration (EM)-ageing aware scheduler for improving the lifetime of multi-core processors is evaluated. The ageing-aware scheduler takes inputs from the trained models for the estimation of ageing effect and perform scheduling based on performance and reliability requirement. Experimental results show that predictive performance is better for KNN model compared to the LR model

Keywords

Ageing Aware Scheduler, Machine Learning Model, Multi-Core Processor, KNN, LR
Subscription Login to verify subscription
User
Notifications
Font Size

  • V. Rajaraman, “Multi-Core Microprocessors”, Resonance, Vol. 22, pp. 1175-1192, 2017.
  • J. Srinivasan, S.V. Adve, P. Bose and J.A. Rivers, “The Case for Lifetime Reliability-Aware Microprocessors”, Proceedings of Annual International Symposium on Computer Architecture, pp. 276-287, 2004.
  • Y.G. Kim, M. Kim, J. Kong and S.W. Chung, “An Adaptive Thermal Management Framework for Heterogeneous MultiCore Processors”, IEEE Transactions on Computers, Vol. 69, No. 6, pp. 894-906, 2020.
  • P. Mercati, F. Paterna, A. Bartolini, L. Benini and T.S. Rosing, “WARM: Workload-Aware Reliability Management in Linux/Android”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 36, No. 9, pp. 1557-1570, 2017.
  • A. Sabu, B. Raveendran and R. Ghosh, “SMILEY: A MixedCriticality Real-Time Task Scheduler for Multicore Systems”, Proceedings of International Symposium on Distributed Simulation and Real Time Applications, pp. 1-5, 2018.
  • M.H. Haghbayan, A. Miele, Z. Zou, H. Tenhunen and J. Plosila, “Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip”, Proceedings of European Workshop and Exhibition on Design, Automation, pp. 1229-1234, 2020.
  • Y. Chen, Y. Lin and I. Lin, “An NBTI-aware Task Parallelism Scheme for Improving Lifespan of Multi-core Systems”, Proceedings of International Symposium on Quality Electronic Design, pp. 117-122, 2020.
  • R. Zhang, M.R. Stan and K. Skadeon, “HotSpot6.0: Validation Acceleration and Extension”, Technical Report, Available at https://www.cs.virginia.edu/~skadron/Papers/HotSpot60_T R.pdf, Accessed at 2015.
  • Li Sheng, Ho Jung and R.D, Strong, “McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures”, Proceedings of International Symposium on Microarchitecture, pp. 12-16, 2009.
  • L. Sam, J. Hans and B. Pradip, “Quantifying Sources of Error in McPAT and Potential Impacts on Architectural Studies”, Proceedings of International Symposium on High Performance Computer Architecture, pp. 577-589, 2015.
  • Bradford Beckmann and Gabriel Black, “The Gem5 Simulator”, ACM SIGARCH Computer Architecture News, pp. 1-7, 2011.
  • Y.M. Qureshi, W.A. Simon, M. Zapater, D. Atienza and K. Olcoz, “Gem5-X: A Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms”, Proceedings of International Conference on Simulation, pp. 1-12, 2019.
  • D. Jaeckle and A. Sikora, “Thermal Modeling of Homogeneous Embedded Multi-Core Processors”, Proceedings of International Conference on Advances in Computing, Communications and Informatics, pp. 588-593, 2014.
  • I. Takouna, W. Dawoud and C. Meinel, “Accurate Multicore Processor Power Models for Power-Aware Resource Management”, Proceedings of International Conference on Dependable, Autonomic and Secure Computing, pp. 419426, 2011.
  • K. Zhang, “Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components”, IEEE Transactions on Parallel and Distributed Systems, Vol. 29, No. 2, pp. 405-419, 2018.
  • S. Sadiqbatcha, Y. Zhao, J. Zhang, H. Amrouch, J. Henkel and S.X. Tan, “Machine Learning Based Online Full-Chip Heatmap Estimation”, Proceedings of Asia and South Pacific Conference on Design Automation, pp. 229-234, 2020.
  • A. Iranfar, M. Zapater and D. Atienza, “Work-In-Progress: A Machine Learning-Based Approach for Power and Thermal Management of Next-Generation Video Coding on MPSoCs”, Proceedings of International Conference on Hardware/Software Codesign and System Synthesis, pp. 12, 2017.[18] J. Srinivasan, S. V. Adve, P. Bose and J.A. Rivers, “The Case for Lifetime Reliability-Aware Microprocessors”, Proceedings of Annual International Symposium on Computer Architecture, pp. 276-287, 2004.
  • M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge and R.B. Brown, “MiBench: A Free, Commercially Representative Embedded Benchmark Suite”, Proceedings of 4th Annual IEEE International Workshop on Workload Characterization, pp. 3-14, 2001.
  • F. Pedregosa, “Scikit-Learn: Machine Learning in Python”, Journal of Machine Learning Research, Vol. 12, pp. 2825-2830, 2011.
  • Allan Webber, “Calculating Useful Lifetimes of Embedded Processors”, Texas Instruments Application Report, pp. 1-16, 2020.
  • P. Virtanen, R. Gommers and T.E. Oliphant, “SciPy 1.0: Fundamental Algorithms for Scientific Computing in Python”, Nature Methods, Vol. 17, pp. 261-272, 2020.
  • Richard M. Stallman and the GCC Developer Community, “Using the GNU Compiler Collection”, Available at: https://gcc.gnu.org/onlinedocs/gcc.pdf, Accessed at 2021

Abstract Views: 122

PDF Views: 0




  • Performance Comparison Of Knn And Linear Regression Based Machine Learning Approaches In The Design Of An Em-Ageing Aware Scheduler For Improving The Lifetime Of Multi-Core Processors

Abstract Views: 122  |  PDF Views: 0

Authors

P. Jagadeesh Kumar
Department of Electronics Engineering, Government Model Engineering College, India
M.G. Mini
Department of Electronics Engineering, Government Model Engineering College, India

Abstract


The increasing computing requirements in modern embedded systems demand high performance processing cores with highly down-scaled devices. System reliability due to ageing is a major concern in such processing cores. Run-time adaptations with ageing-aware schedulers are getting more attention to increase the lifetime reliability of the processing cores. Accurate and efficient thermal estimation of processing cores based on the characteristics of workloads is important to implement run-time adaptation schemes. In this work we have developed K-Nearest Neighbor (KNN) and Linear Regression (LR) machine learning models for the estimation of thermal profiles of the major power consuming logical units of a multi-core processor. Prediction performance of KNN and LR machine learning models in the design of an Electron Migration (EM)-ageing aware scheduler for improving the lifetime of multi-core processors is evaluated. The ageing-aware scheduler takes inputs from the trained models for the estimation of ageing effect and perform scheduling based on performance and reliability requirement. Experimental results show that predictive performance is better for KNN model compared to the LR model

Keywords


Ageing Aware Scheduler, Machine Learning Model, Multi-Core Processor, KNN, LR

References