Open Access Open Access  Restricted Access Subscription Access

An Efficient Hardware Implementation of Detecting Targets from Remotely Sensed Hyperspectral Images


Affiliations
1 Institute of Artificial Intelligence and Data Science, Saveetha School of Engineering, SIMATS,, Thandalam, Chennai 602 105, Tamil Nadu, India
2 Department of Electronics and Communication Engineering, Sri Venkateswara College of Engineering, Sriperumbudur 602 117, Tamil Nadu, India

Real-time implementation of hyperspectral imagery is an emerging research area which has notable remote sensing applications. It is challenging to process a huge volume of hyperspectral data under real-time constraints. Field programmable gate arrays are considered as an efficient hardware suited for onboard processing system. ATGP is a proven target detection algorithm which can automatically detect the target without any predefined data. In the traditional method, this algorithm involves orthogonal subspace projector which makes the hardware design too complex and slow. To speed up the process, Gram-Schmidt orthogonalization operator is used. Gram-Schmidt orthogonalization technique uses inner product instead of matrix inverse which makes the hardware design easy to implement in FPGA board. A detailed comparative analysis is carried out using three different hyperspectral images to emphasize the performance of the design which is adopted in this technique. The processing speed of the proposed ATGP-GS algorithm is 3.484 s for ROSIS Pavia University dataset, 1.781 s for HYDICE Urban dataset and 1.609 s for AVIRIS Cuprite dataset. The proposed algorithm is implemented in Virtex 6 ML605 evaluation board to evaluate the real-time performance of the system.
User
Notifications
Font Size

Abstract Views: 79




  • An Efficient Hardware Implementation of Detecting Targets from Remotely Sensed Hyperspectral Images

Abstract Views: 79  | 

Authors

C Sherin Shibi
Institute of Artificial Intelligence and Data Science, Saveetha School of Engineering, SIMATS,, Thandalam, Chennai 602 105, Tamil Nadu, India
R Gayathri
Department of Electronics and Communication Engineering, Sri Venkateswara College of Engineering, Sriperumbudur 602 117, Tamil Nadu, India

Abstract


Real-time implementation of hyperspectral imagery is an emerging research area which has notable remote sensing applications. It is challenging to process a huge volume of hyperspectral data under real-time constraints. Field programmable gate arrays are considered as an efficient hardware suited for onboard processing system. ATGP is a proven target detection algorithm which can automatically detect the target without any predefined data. In the traditional method, this algorithm involves orthogonal subspace projector which makes the hardware design too complex and slow. To speed up the process, Gram-Schmidt orthogonalization operator is used. Gram-Schmidt orthogonalization technique uses inner product instead of matrix inverse which makes the hardware design easy to implement in FPGA board. A detailed comparative analysis is carried out using three different hyperspectral images to emphasize the performance of the design which is adopted in this technique. The processing speed of the proposed ATGP-GS algorithm is 3.484 s for ROSIS Pavia University dataset, 1.781 s for HYDICE Urban dataset and 1.609 s for AVIRIS Cuprite dataset. The proposed algorithm is implemented in Virtex 6 ML605 evaluation board to evaluate the real-time performance of the system.