Open Access Open Access  Restricted Access Subscription Access

Thermal Control in 3D Liquid Cooled Processors via Hotspot Separation and Thermoelectric Cooling


Affiliations
1 Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States
 

Microchannel liquid cooling is a promising technique to handling the high temperature problem of threedimensional (3D) processors. There have been a few works which made initial attempts to optimize liquid cooling by utilizing non-uniformly distributed channels, variable flow rate, wider channels, and Dynamic Voltage and Frequency Scaling (DVFS) combined with thread migration mechanisms. Although these optimizations could be better than a straightforward microchannel liquid cooling design, the cooling of 3D processors is limited due to design-time and run-time challenges. Moreover, in new technologies, the processor power density is continually increasing and this will bring more serious challenges to liquid cooling.

In this paper, we propose two thermal control techniques to control hotspots in liquid cooled 3D processors: 1) Core Vertically Placed (CVP) technique. According to the architecture of a processor core, two schemes are given for placing a core vertically onto multilayers. The 3D processor with the CVP technique can be better cooled since its separate hotspot blocks have a larger contact area with the cooler surroundings. 2) Thermoelectric cooling (TEC) technique. We propose to incorporate the TEC technique into the liquid-cooled 3D processor to enhance the cooling of hotspots. Our experiments show the CVP technique reduces the maximum temperature up to 29.58 ºC, and 13.77 ºC on average compared with the baseline design. Moreover, the TEC technique effectively cools down a hotspot from 96.86 ºC to 78.60 ºC. Furthermore, the CVP technique supports a 30% increase in processor frequency which results in a 1.27 times speedup of processor performance.


Keywords

3D Processors, Core Vertical Placed (CVP), Liquid Cooling, Thermoelectric Cooling (TEC).
User
Notifications
Font Size

Abstract Views: 199

PDF Views: 135




  • Thermal Control in 3D Liquid Cooled Processors via Hotspot Separation and Thermoelectric Cooling

Abstract Views: 199  |  PDF Views: 135

Authors

Yue Hu
Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States
Shaoming Chen
Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States
Lu Peng
Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States
Edward Song
Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States
Jin-Woo Choi
Division of Electrical and Computer Engineering, School of Electrical Engineering and Computer Science, Louisiana State University, Baton Rouge, LA, United States

Abstract


Microchannel liquid cooling is a promising technique to handling the high temperature problem of threedimensional (3D) processors. There have been a few works which made initial attempts to optimize liquid cooling by utilizing non-uniformly distributed channels, variable flow rate, wider channels, and Dynamic Voltage and Frequency Scaling (DVFS) combined with thread migration mechanisms. Although these optimizations could be better than a straightforward microchannel liquid cooling design, the cooling of 3D processors is limited due to design-time and run-time challenges. Moreover, in new technologies, the processor power density is continually increasing and this will bring more serious challenges to liquid cooling.

In this paper, we propose two thermal control techniques to control hotspots in liquid cooled 3D processors: 1) Core Vertically Placed (CVP) technique. According to the architecture of a processor core, two schemes are given for placing a core vertically onto multilayers. The 3D processor with the CVP technique can be better cooled since its separate hotspot blocks have a larger contact area with the cooler surroundings. 2) Thermoelectric cooling (TEC) technique. We propose to incorporate the TEC technique into the liquid-cooled 3D processor to enhance the cooling of hotspots. Our experiments show the CVP technique reduces the maximum temperature up to 29.58 ºC, and 13.77 ºC on average compared with the baseline design. Moreover, the TEC technique effectively cools down a hotspot from 96.86 ºC to 78.60 ºC. Furthermore, the CVP technique supports a 30% increase in processor frequency which results in a 1.27 times speedup of processor performance.


Keywords


3D Processors, Core Vertical Placed (CVP), Liquid Cooling, Thermoelectric Cooling (TEC).