Browse Title Index


 
Issue Title
 
Vol 5, No 11 (2013) Enhanced LUT for Modified Distributed Arithematic Architecture-FIR Filter Abstract
N. Vivek, K. Anusudha
 
Vol 1, No 4 (2009) Enhancement of Video Sequence by Stabilization Technique Abstract
M. Ezhilarasan, P. Vinoth Kumar, R. Nirmal Kumar, K. Srinivasan
 
Vol 3, No 8 (2011) Enhancing Breast Ultrasound Images Using Hough Transform Abstract
N. Alamelumangai, J. Devi Shree
 
Vol 7, No 4 (2015) E-Shaped Antenna for GSM/3G Applications Abstract
K. Ramu, K. Kishore Reddy, M. Gowtham Reddy, R. Thandaiah Prabu
 
Vol 4, No 4 (2012) Estimation of the Trajectory of an Acoustic Source Abstract
T. T. Sivaprasad, A. Saravanakumar
 
Vol 5, No 11 (2013) Evaluation of Maximum Entropy Method of Spectrum Estimation Abstract
P. SasiKiran, T. GowriManohar, S. KoteswaraRao, K. Bramaramba
 
Vol 11, No 2 (2019) Experimental Analysis of BLDC Ceiling Fan for Six and Four Switch Inverter Drives Abstract
A. Akilaash, M. R. Cibi, E. R. S. Elangovan, A. Girishankar
 
Vol 12, No 7-9 (2020) Face Detection for Behaviour Analysis using Deep Learning Abstract
A. K. Azad, M. A. Rashid, M. S. Hossain
 
Vol 8, No 6 (2016) Face Image and Information Retrieval Using LBP and Sparse Coding Abstract
K. Titus Manoj Kumar, E. Stephena
 
Vol 4, No 9 (2012) Fade Mitigation for Air-to-Ground Communication in Aeronautical Telemetry Abstract
Manisha Bhatt, Gopal Patel, Mukesh Pathela
 
Vol 11, No 10 (2019) Fast and Efficient Cancer Prediction System using Data Mining Techniques Abstract
H. Lubsen, R. Mizumoto, C. Gravalos
 
Vol 6, No 6 (2014) Fast Detection of Brain Disorders using EEG Signal Abstract
M. S. Jamuar, A. Shah, M. Kucic
 
Vol 6, No 6 (2014) Fast Digital Watermarking System based on FPGA Implementation Abstract
R. Panday
 
Vol 3, No 3 (2011) Fault Tolerance in Real-Time Systems through Time-Triggered Approach Abstract
B. Abdul Rahim, K. Soundarar Rajan
 
Vol 8, No 2 (2016) Fault Tolerant Diminished Filters Using Weighted Code Technique Abstract
M. Sathya, K. Karuppanasamy
 
Vol 6, No 2 (2014) Fault Tolerant Secured System Using Efficient ML Decoder/Detector Abstract
B. Lakshmi, R. Arunprasath
 
Vol 8, No 8 (2016) FFT Analysis on Partial Discharge Modeling of Transformer Solid Insulation Abstract
Chilaka Ranga, Ashwani Kumar Chandel
 
Vol 9, No 1 (2017) Financial and Risk Analysis in Data Mining Abstract
S. Janani Priya, P. Sangeethaa
 
Vol 2, No 7 (2010) FIR Digital Differentiator as an Efficient Versatile Multifunction Configuration Abstract
Rajkumar, Jyoti Saxena, Neeraj Gill
 
Vol 5, No 4 (2013) FPGA Based Pipelined Architecture for SPIHT Abstract
S. Soumya Priyadarshni, P. Kavitha
 
Vol 4, No 7 (2012) FPGA Implementation of Digit-Serial Architecture for Various Digit-Size and Wordlength in Viterbi Decoder Abstract
T. Kalavathidevi, K. V. Punitha, C. Venkatesh
 
Vol 2, No 7 (2010) FPGA Implementation of Floating Point Modules for Evaluating Accurate Arithmetic Expression and DSP Applications Abstract
N. Ramya Rani
 
Vol 2, No 9 (2010) Fuzzy Logic PID Control for Automatic Voltage Regulator System Abstract
A. Dominicsavio, P. Poongodi, S. Samuel Ebenezer
 
Vol 3, No 3 (2011) Global Chaos Synchronization of Chen and Cai Systems by Active Nonlinear Control Abstract
V. Sundarapandian, R. Karthikeyan
 
Vol 3, No 3 (2011) Global Chaos Synchronization of Hyperchaotic Liu and Hyperchaotic Chen Systems by Active Nonlinear Control Abstract
V. Sundarapandian, R. Karthikeyan
 
201 - 225 of 453 Items First Previous 4 5 6 7 8 9 10 11 12 13 Next Last