Browse Title Index


 
Journal Issue Title
 
Indian Forester Vol 103, No 5 (1977) A Low Cost Cabinet Type Seed Germinator Abstract
B. N. Gupta, Adarsh Kumar
 
Journal of Pure and Applied Ultrasonics Vol 28, No 2-4 (2006) A Low Cost Dynamic Level Measurement Instrument Using Ultrasonics (With Emphasis on Electronic Signal Processing) Abstract   PDF
Pooja U. More, S. D. Phadke, R. N. Karekar
 
Indian Journal of Public Health Research & Development Vol 11, No 2 (2020) A Low Cost Hardware Based Fall Detection and Call for Help System for Elderly Person Abstract
K. Suganya, M. Saravanan
 
Journal of Engineering Education Transformations Vol 28, No Spl Iss (2015) A Low Cost Open Source Hardware Tool for Integrated Learning Experience in Laboratories Abstract
A. B. Raju, Anupama Itagi, Sachin Angadi, V. Sushma, Ashok Shettar
 
Indian Journal of Science and Technology Vol 2, No 9 (2009) A Low Cost Wavelet Based Mammogram Image Processing for Early Detection of Breast Cancer Abstract   PDF
D. M. Garge, V. N. Bapat
 
AIRCC's International Journal of Computer Science and Information Technology Vol 1, No 2 (2009) A Low Indexed Content Based Neural Network Approach for Natural Objects Recognition Abstract   PDF
G. Shyama Chandra Prasad, A. Govardhan, T. V. Rao
 
Indian Journal of Engineering & Materials Sciences Vol 30, No 4 (2023) A Low Input Impedance Wide Bandwidth Flipped Voltage Follower Current Mirror Abstract   PDF
Narsaiah Domala, G. Sasikala
 
Networking and Communication Engineering Vol 6, No 3 (2014) A Low Noise Figure LNA Design and Simulation for Ultra-Wideband Communication Abstract
S. Vasantha
 
Indian Journal of Science and Technology Vol 9, No 30 (2016) A Low Phase Noise NMOS LC-VCO using New Degeneration Structure Abstract   PDF
Hyunwon Moon
 
Research Cell: An International Journal of Engineering Sciences Vol 17, No 1 (2016) A Low Power 16 Bit Vedic Divider for High Speed VLSI Applications Abstract   PDF
Kuldeep Singh Sidhu, Ashwani Kumar Singla
 
Programmable Device Circuits and Systems Vol 5, No 1 (2013) A Low Power Analysis for SRAM using 6T and 8T Bit Cells Abstract
M. K. Anjali
 
International Journal of Research in Signal Processing, Computing & Communication System Design Vol 3, No 2 (2017) A Low Power DBI Based CRC Design Using GDI Technology Abstract
L. Babitha, Ch. Vandana, M. Swathi, U. Gnaneshwara Chary
 
Programmable Device Circuits and Systems Vol 6, No 2 (2014) A Low Power High Speed Design Using Clustering Based Flip Flop Merging Abstract
I. Divona Priscilla, R. Arun Prasath
 
Indian Journal of Science and Technology Vol 8, No 19 (2015) A Low Power Multiplier using a 24-Transistor Latch Adder Abstract   PDF
Savio Victor Gomes, P. Sasipriya, V. S. Kanchana Bhaaskaran
 
ICTACT Journal on Microelectronics Vol 4, No 3 (2018) A Low Power Programmable Bandpass Filter For Digital Radio Mondiale Abstract
S. Ramasamy, B. Venkataramani
 
Programmable Device Circuits and Systems Vol 6, No 4 (2014) A Low Power SRAM Error Compensating Cellular Automata Technique for JPEG2000 Abstract
S. Dhivya, R. Sivaranjani
 
Programmable Device Circuits and Systems Vol 10, No 3 (2018) A Low Power Threshold Inverter Quantizer Comparator Using Diode Free Adiabatic Logic for 1.2 V, 3-Bit Flash Analog to Digital Converter Abstract
Vishal Moyal, Neeta Tripathi
 
Fuzzy Systems Vol 6, No 2 (2014) A Low Power VLSI Architecture Design Using Enhanced ADPLL Abstract
T. M. Sathish Kumar, P. S. Periasamy, C. Divya
 
Digital Image Processing Vol 3, No 11 (2011) A Low Power VLSI ASIC 3-Dimensinal Discrete Wavelet Transform Architecture for Video Coding Using Lifting Scheme Abstract
Ganapathi Hegde, Pukhraj Vaya
 
Journal of Engineering Education Transformations Vol 31, No 1 (2017) A Low Risk Hybrid Teaching Methodology for Improving the Motivation Levels of Students:A Casestudy on Digital Image Processing Course Abstract
M. Venkata Krishna Rao
 
Indian Journal of Science and Technology Vol 10, No 15 (2017) A Low Temperature Synthesis and Optical Characterization of Mn-Ni Mixed Nanoferrites Abstract   PDF
Elangbam Chitra Devi, Ibetombi Soibam
 
Indian Journal of Science and Technology Vol 10, No 22 (2017) A Low-Cost and Portable Apnea Detector for Healthcare System Abstract   PDF
Souvik Das
 
Indian Forester Vol 128, No 1 (2002) A Low-cost Medium formulation for In-vitro Culture of Pleurotus Spp Abstract
Nilanjana Das, S. C. Mahapatra, R. N. Chattopadhyay
 
Current Science Vol 126, No 12 (2024) A Low-Cost Multi-Fuel Biomass Furnace for Food Processing in Rural Areas Abstract
Anil K. Rajvanshi, Nandini Nimbkar
 
The Indian Journal of Nutrition and Dietetics Vol 26, No 8 (1989) A Low-Cost Technology for Making Soybean 'Badi' at Rural/Cottage Level Abstract
R. T. Patil, Nawab Ali
 
4251 - 4275 of 148000 Items First Previous 166 167 168 169 170 171 172 173 174 175 Next Last